CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 信号 vga vhdl

搜索资源列表

  1. color_bar

    0下载:
  2. 使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10577
    • 提供者:石坚
  1. razzle

    0下载:
  2. 使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。和上一个文件razzle差不多,但是产生的效果不一样。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide. And on a razzle almost document,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10635
    • 提供者:石坚
  1. EP1C6_12_3_VGAimg

    1下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:21704
    • 提供者:多幅撒
  1. vga

    0下载:
  2. 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:193711
    • 提供者:白杨
  1. ballgame

    2下载:
  2. 用VHDL语言编写的弹球游戏,控制挡板接住在屏幕上反弹的小球。 显示输出为标准VGA信号,可直接连接VGA显示器。 可用QuartusII软件下载到FPGA中进行实现。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2917
    • 提供者:Guo Deyuan
  1. vgaout

    0下载:
  2. VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6964
    • 提供者:余飞
  1. 数字电子电路-VGA图像显示控制器

    0下载:
  2. 设计一个VGA图像显示控制器,使其实现以下功能---- 1. 显示模式为640╳480╳60Hz。 2. 用拨码开关控制R,G,B(每个2位),使显示器可以显示64种纯色。 3. 在显示器上显示横向彩条信号(至少六种颜色)。 4. 在显示器上显示纵向彩条信号(至少八种颜色)。 5. 在显示器上显示自行设定的图形,图像等。 6. 选做,自拟其他功能。 所利用到的元器件有: 电脑,显示器,vga接口转换模块, 数字电子电路实验开发板,30Mhz晶振,下载线,电源等
  3. 所属分类:VHDL编程

  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. cameralink

    0下载:
  2. 由于目前基于CameraLink接口的各种相机都不能直接显示,因此本文基于Xilinx公司的Spartan 3系列FPGAXC3S1000-6FG456I设计了一套实时显示系统,该系统可以在不通过系统机的情况下,完成对相机CameraLink信号的接收、缓存、读取并显示 系统采用两片SDRAM作为帧缓存,将输入的CameraLink信号转换成帧频为75Hz,分辨率为1 024×768的XGA格式信号,并采用ADV7123JST芯片实现数模转换,将芯片输出的信号送到VGA接口,通过VGA显示器显示
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13232
    • 提供者:lilei
  1. fft16ref0

    0下载:
  2. vhdl语言按fft接口标准把数字图像信号转换成标准VGA格式。适合做学习试验 -implementation_fft
  3. 所属分类:Graph program

    • 发布日期:2017-04-06
    • 文件大小:657234
    • 提供者:mmmggg
  1. logicFPGA

    0下载:
  2. 电子设计大赛作品_音频信号分析仪的FPGA源码(一等奖)-Electronic Design Competition works _ audio signal source analyzer FPGA (first prize)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7582246
    • 提供者:zsy
  1. VHDLSourceProgramofVGAOut

    0下载:
  2. 彩条信号显示的VHDL源程序,了解VGA现实原理,理解各个VGA控制信号的作用。-VHDL Source Program of VGAOut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2070
    • 提供者:杨波
  1. VGA

    0下载:
  2. 基于FPGA的VGA时序彩条信号实现方法及其应用-FPGA-based VGA color bar signal timing method and its application to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:134449
    • 提供者:chen
  1. VGA

    0下载:
  2. VGA彩条信号发生器的设计。用到了RGB三基色来组成八种颜色构成彩条信号。-VGA color bar signal generator design. Use of the RGB three primary colors to form the eight kinds of colors of color bar signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:407414
    • 提供者:huangdeyi
  1. VGA_test

    0下载:
  2. 基于FPGA设计的一段测试VGA接口的VHDL小程序\功能为在显示器上间隔显示横条、竖条以及棋盘格等彩条信号,希望对初学FPGA驱动VGA接口的电子爱好者有用-FPGA-based design of a VGA interface VHDL test applet \ functions for the intervals shown in the display bar, vertical bars and checkerboard patterns and other signals of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:396827
    • 提供者:常娟成
  1. VGA

    0下载:
  2. 使用VHDL语言和FPGA器件实现VGA彩条信号的产生。-Using the VHDL language and FPGA devices to achieve VGA color bar signal generation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:355214
    • 提供者:cqwrh023
  1. VGA

    0下载:
  2. VGA显示控制模块VHDL描述,行场计数器地址接入R、G、B信号端可以显示彩条-VHDL descr iption of VGA display and control module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1085
    • 提供者:cs
  1. VGA

    0下载:
  2. vhdl实现VGA接口显示四种图案:横彩条、竖彩条、棋盘格、白屏。文件包含四个模块:clk_div2——二分频(输入50Mhz输出25Mhz)、makecolor产生彩条信号、vgasyn产生行同步hs和列同步vs、行计数器hadddr、列计数器vaddr,vga_main主程序连接前三个模块。-vhdl implementation VGA interface displays four patterns: horizontal color bar, vertical color bars,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2157
    • 提供者:zhanghuan
  1. VHDL-VGA

    0下载:
  2. 基于VHDL的VGA彩条信号显示控制器,实现将预置的图像或动画在VGA显示器上正确显示的功能。-Based on the color bar signal VHDL VGA display controller, to achieve the preset image or animation function correctly displayed on a VGA monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3914
    • 提供者:JACK
  1. text seven

    1下载:
  2. VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。(A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of the experimental chip to be use
  3. 所属分类:图形图象

    • 发布日期:2020-06-02
    • 文件大小:772096
    • 提供者:一一11.
« 12 »
搜珍网 www.dssz.com